Xilinx FPGA開發實用教學 | 誠品線上

Xilinx FPGA開發實用教學

作者 徐文波/ 田耘
出版社 佳魁資訊股份有限公司
商品描述 Xilinx FPGA開發實用教學:作者所著的本書全部內容都是實際專案開發經驗和Xilinx公司各種文件、書籍的結合體,全部資訊幾乎都可以從Xilinx網站以及Google上找到淵源,不過

內容簡介

內容簡介 作者所著的本書全部內容都是實際專案開發經驗和Xilinx 公司各種文件、書籍的結合體,全部資訊幾乎都可以從Xilinx 網站以及Google 上找到淵源,不過我們仍然向您推薦本書,因為網路的資訊是分散的、雜亂的,且正確性不是100% 的,本書各章內容的安排是從大量的實作中歸納出來的,循序漸進,條理清楚,且都經過作者驗證,我們的目的就是從Ivo Bolsens 先生的觀點出發,結合專案開發,將網路上盡可能多的相關資訊以相對較高的品質組合起來。 本書適合電子、通訊以及電腦等相關專業的研究所學生和大三、大四學生使用,同時也適合於從事Xilinx 系列FPGA 設計和開發的工程師。毫無疑問,市場上已經有很多關於FPGA 設計的書籍,我們也不認為本書是其中最重要的一本,但我們意識到FPGA 開發一定要結合晶片特點以及提供商的諸多建議和協定,只有這樣才能真正掌握其開發之道。 全書所有內容都移植在小巧的S6 CARD 電路板(以Spartan 6 LX9 為基礎的開發板,和身份證大小一樣,透過USB 供電和偵錯,無須下載纜線)上,並將所有的專案檔案放在本公司官網上提供下載(www.topteam.cc),為讀者提供通用的驗證平台。S6 CARD 電路板的詳細資訊可參考網路上的相關介紹(www.openhw.org shop index.php?action=product&id=248)。

作者介紹

作者介紹 ■作者簡介徐文波 田耘

產品目錄

產品目錄 第一章 Xilins 元件概述1.1 可程式邏輯元件基礎1.1.1 可程式邏輯元件的基本情況1.1.2 可程式邏輯元件的發展歷史1.1.3 PLD 開發工具1.1.4 典型FPGA 開發流程1.2 Xilinx FPGA 晶片1.2.1 FPGA 的工作原理1.2.2 Xilinx FPGA 晶片結構1.2.3軟核、硬核及固核1.2.4Xilinx主流FPGA1.3Xilinx軟體工具1.3.1ISE Foundation軟體1.3.2EDK開發工具1.3.3System Generator DSP工具1.3.4ChipScope Pro1.3.5PlanAhead1.4本書案例驗證平臺--S6 CARD開發板1.4.1S6 CARD開發板的組成與功能1.4.2S6 CARD板卡引腳約束說明本章小結第2章Verilog HDL開發基礎與進階2.1Verilog HDL語言2.1.1Verilog HDL語言的歷史2.1.2Verilog HDL的主要功能2.1.3Verilog HDL和VHDL的區別2.1.4Verilog HDL設計方法2.2Verilog HDL基本程式結構2.3Verilog HDL語言的資料類型和運算符2.3.1標誌符2.3.2資料類型2.3.3模組埠2.3.4常量集合2.3.5運算符和運算式2.4Verilog HDL語言的描述語句2.4.1結構描述形式2.4.2資料流程描述形式2.4.3行為描述形式2.4.4混合設計模式2.5Verilog HDL建模與調試技巧2.5.1雙向埠的使用和仿真2.5.2阻塞賦值與非阻塞賦值2.5.3輸入值不確定的組合邏輯電路2.5.4數學運算中的擴位與截位操作2.5.5利用塊RAM來實現資料延遲2.5.6測試向量的生成2.6Verilog HDL常用程式示例2.6.1數位電路中基本單元的FPGA實現2.6.2基本時序處理模組2.7Xilinx器件原語的使用本章小結第3章Xilinx FPGA電路原理與系統設計3.1FPGA配置電路3.1.1Xilinx FPGA配置電路3.1.2Xilinx FPGA常用的配置引腳3.1.3Xilinx FPGA配置電路分類3.2JTAG電路的原理與設計3.2.1JTAG電路的工作原理3.2.2Xilinx JTAG下載線3.3FPGA的常用配置電路3.3.1主串模式--最常用的FPGA配置模式3.3.2SPI串列Flash配置模式3.3.3從串配置模式3.3.4主位元組寬度並行配置模式3.3.5JTAG配置模式3.3.6System ACE配置方案3.4iMPACT軟體使用3.4.1iMPACT軟體3.4.2iMPACT中的JTAG配置操作3.4.3iMPACT中的Xilinx PROM配置操作3.4.4iMPACT中的SPI Flash配置操作3.4.5FPGA配置失敗的常見問題3.5從配置PROM中讀取用戶資料3.5.1從PROM中引導數據3.5.2硬體電路設計方法3.5.3軟體操作流程本章小結第4章基於ISE Foundation的邏輯設計4.1ISE套件4.1.1ISE的特點4.1.2ISE的功能4.1.3ISE的安裝4.1.4ISE的用戶介面4.2基於ISE的設計輸入4.2.1新建工程4.2.2代碼輸入4.2.3代碼範本的使用4.2.4Xilinx IP Core的原理與應用4.3ISE基本操作4.3.1基於Xilinx XST的綜合4.3.2基於ISim的仿真4.3.3基於ISE的實現4.3.4基於目標和策略的設計方法4.3.5基於SmartGuide的設計方法4.3.6比特檔的生成4.3.7基於IMPACT的晶片配置4.3.8功耗分析以及XPower的使用4.4約束4.4.1約束檔4.4.2UCF檔的語法說明4.4.3引腳和區域約束語法4.4.4時序約束語法4.5調試利器--ChipScope Pro4.5.1ChipScope Pro工作原理4.5.2ChipScope Pro操作流程4.5.3ChipScope Pro開發實例4.6ISE與第三方EDA軟體4.6.1ModelSim軟體的使用4.6.2ModelSim和ISE的聯合開發流程4.6.3MATLAB軟體的使用4.6.4ISE與MATLAB的聯合使用4.6.5MATLAB、ModelSim和ISE聯合開發實例本章小結第5章時序分析5.1時序分析的作用和原理5.1.1時序分析的作用5.1.2靜態時序分析原理5.1.3時序分析的基礎知識5.2Xilinx FPGA中的時鐘資源5.2.1全局時鐘資源5.2.2第二全局時鐘資源5.3ISE時序分析器5.3.1時序分析器的特點5.3.2時序分析器的文件類型5.3.3時序分析器的調用與用戶介面5.3.4提高時序性能的手段本章小結第6章邏輯開發專題6.1Verilog HDL設計進階6.1.1面向硬體的程式設計思維6.1.2“面積”和“速度”的轉換原則6.1.3同步電路的設計原則6.2Xilinx FPGA晶片底層單元的使用6.2.1Xilinx全局時鐘網路的使用6.2.2CMT時鐘管理模組的使用6.2.3Xilinx內嵌塊記憶體的使用6.2.4硬核乘加器的使用6.3代碼風格6.3.1代碼風格的含義6.3.2代碼書寫風格6.3.3通用設計代碼風格6.3.4Xilinx專用設計代碼風格6.4UART介面開發實例6.4.1串口介面與RS232協議6.4.2串口通信控制器的Verilog HDL實現6.4.3RS232設計板級調試本章小結第7章基於EDK的嵌入式系統設計7.1可配置嵌入式系統(EDK)7.1.1基於FPGA的可編程嵌入式開發系統7.1.2Xilinx公司的解決方案7.2Xilinx嵌入式開發系統組成7.2.1片內微處理器軟核MicroBlaze7.2.2PLB匯流排系統結構7.2.3IP核以及設備驅動7.3EDK軟體7.3.1EDK設計的實現流程7.3.2EDK的文件管理架構7.4XPS軟體典型操作7.4.1XPS的啟動7.4.2利用BSB創建新工程7.4.3XPS的用戶介面7.4.4XPS的目錄結構與硬體平臺7.4.5在XPS加入IP Core7.4.6XPS工程的綜合與實現7.5SDK軟體典型操作7.5.1SDK的用戶介面7.5.2SDK的典型操作7.5.3IP外設的API函數查閱和使用方法7.5.4GPIO外設開發實例7.5.5其他外設開發實例本章小結第8章基於System Generator的DSP系統設計8.1System Generator的特點與安裝8.1.1System Generator的主要特點8.1.2System Generator的安裝和配置8.2System Generator的使用基礎8.2.1System Generator開發流程8.2.2Simulink的應用8.3基於System Generator的DSP系統設計8.3.1System Generator的應用8.3.2System Generator中的信號類型8.3.3自動代碼生成8.3.4編譯MATLAB設計生成FPGA代碼8.3.5子系統的建立與ISE調用8.4基於System Generator的硬體協仿真8.4.1硬體協仿真平臺的特點與平臺安裝8.4.2硬體協仿真的基本操作8.4.3共用記憶體的操作8.5System Generator的高級應用8.5.1導入外部的HDL程式模組8.5.2設計線上調試8.5.3系統中的多時鐘設計8.5.4FPGA設計的高級技巧本章小結第9章數位信號處理專題9.1數位信號9.1.1數位信號的產生9.1.2採樣定理9.1.3數位系統的主要性能指標9.1.4A D轉換的字長效應9.2常用DSP IP Core及其應用9.2.1DDS模組IP Core的應用9.2.2FFT演算法IP Core的應用9.2.3Cordic演算法IP Core的應用9.2.4FIR濾波器IP Core的應用9.3多速率濾波器的FPGA實現9.3.1多速率信號處理的意義9.3.2多速率信號濾波器的基本操作9.3.3CIC濾波器的FPGA實現9.3.4HB濾波器的FPGA實現本章小結第10章SERDES技術專題10.1高速資料連接功能10.1.1高速資料傳輸10.1.2Xilinx公司高速連接功能的解決方案10.2實現吉比特高速串列I O的相關技術10.2.1吉比特高速串列I O的特點和應用10.2.2吉比特串列I O系統的組成10.2.3吉比特串列I O的設計要點10.3Rocket I O收發器原理與開發10.3.1Rocket I O硬核組成與工作原理10.3.2GTP硬核組成與工作原理10.3.3GTP Wizard開發實例10.4PCIExpress G1端點介面設計10.4.1PCI Express G1技術10.4.2Xilinx PCI Express G1端點模組10.4.3PCI Express G1端點介面實例解讀

商品規格

書名 / Xilinx FPGA開發實用教學
作者 / 徐文波 田耘
簡介 / Xilinx FPGA開發實用教學:作者所著的本書全部內容都是實際專案開發經驗和Xilinx公司各種文件、書籍的結合體,全部資訊幾乎都可以從Xilinx網站以及Google上找到淵源,不過
出版社 / 佳魁資訊股份有限公司
ISBN13 / 9789865908744
ISBN10 / 9865908743
EAN / 9789865908744
誠品26碼 / 2680757384004
頁數 / 624
開數 / 18K
注音版 /
裝訂 / P:平裝
語言 / 1:中文 繁體
級別 / N:無

活動